The correctly declared library for VHDL code is - Study24x7
Social learning Network
study24x7

Default error msg

Login

New to Study24x7 ? Join Now
Already have an account? Login
22 May 2019 10:02 AM study24x7 study24x7

 The correctly declared library for VHDL code is

A

LIBRARY library_name; USE package_name.parts;

B

LIBRARY package_name.parts; LIBRARY library_name;

C

USE library_name; LIBRARY library_name.package_name.parts

D

LIBRARY library_name; USE library_name.package_name.parts

study24x7
Write a comment
  • geet sharma
  •  Library declaration is completed in two lines. First line declares the library and in second line we use ‘USE’ clause to define the package name we want to use from the respective library and the parts we want to use. For example, LIBRARY ieee; USE ieee.std_logic_1164.all; In this declaration, ieee is library and std_logic_1164 package is used; all in package part section specifies that all parts of package are used.

    See more

    Related Questions
    500+   more Questions to answer
    Most Related Articles